| 研究生: |
陳富翔 Fu-Siang Chen |
|---|---|
| 論文名稱: |
蝕刻電晶體元件製程之優化研究 Optimization Study of Etching Process for Transistor Devices |
| 指導教授: |
李雄
Shyong Lee |
| 口試委員: | |
| 學位類別: |
碩士 Master |
| 系所名稱: |
工學院 - 機械工程學系 Department of Mechanical Engineering |
| 論文出版年: | 2025 |
| 畢業學年度: | 113 |
| 語文別: | 中文 |
| 論文頁數: | 58 |
| 中文關鍵詞: | 環繞式閘極電晶體 、懸浮式通道 、電漿蝕刻 、絕緣層上矽 、鍺 |
| 外文關鍵詞: | Fin-FET, GAAFET, Suspended Channel |
| 相關次數: | 點閱:15 下載:0 |
| 分享至: |
| 查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報 |
本論文主旨在開發並優化應用於絕緣層上矽(SOI)基板之環繞式閘極電晶體(GAAFET)的蝕刻製程,並藉由嚴謹的電性與材料分析技術,驗證其在次世代半導體元件中的應用潛力。隨著半導體製程演進至3奈米節點以下,GAAFET以其卓越的靜電控制能力,成為接替鰭式場效電晶體(FinFET)的關鍵架構。本研究使用感應耦合式電漿(ICP)蝕刻系統,以HBr/Cl₂/SF₆氣體化學為基礎,系統性地探討蝕刻參數對製作懸浮式鍺(Ge)通道的影響,目標為建立一套穩定且高效能的奈米級元件製造方案。
本研究之量測與分析方法涵蓋多個層面:首先,利用掃描式電子顯微鏡(SEM)進行橫截面觀察,以評估懸浮式奈米通道的幾何形貌、側壁垂直度與結構完整性,並檢視其與SOI基板的界面品質。其次,透過電流-電壓(I-V)特性量測,深入探討閘極堆疊品質與元件的開關特性,包括臨界電壓(V th )、開關電流比(I on/I off )與次臨界擺幅(S.S.),並以此評估元件的等效氧化層厚度(EOT)與界面陷阱密度,全面地分析製程優化對電性表現的實質貢獻。
實驗結果顯示,透過對射頻偏壓與HBr氣體比例的精準調控,成功實現了蝕刻非等向性(Anisotropy)達0.91的垂直通道輪廓。基於此優化製程所製作的N型GAAFET元件,展現了優異的開關特性,其開關比超過 10^4,次臨界擺幅則低至107 mV/dec。GAAFET結合SOI基板的架構,不僅具備最極致的靜電控制能力以抑制短通道效應,更能有效降低寄生電容與功耗。未來GAAFET元件的發展將朝向多層奈米片堆疊、更先進的通道材料與3D系統整合方向演進,本研究為此發展趨勢提供了關鍵的蝕刻製程基礎與驗證,展現了其在未來高效能運算與低功耗應用中的巨大潛力。
This paper focuses on the development and optimization of the etching process for Gate-All-Around Field-Effect Transistors (GAAFETs) on Silicon-on-Insulator (SOI) substrates, aiming to validate their potential for next-generation semiconductor devices through rigorous electrical and material analysis. As semiconductor manufacturing progresses beyond the 3 nm node, GAAFETs have emerged as a critical architecture to succeed Fin Field-Effect Transistors (FinFETs) due to their superior electrostatic control. This study utilizes an Inductively Coupled Plasma (ICP) etching system, employing HBr/Cl₂/SF₆ gas chemistry, to systematically investigate the influence of etching parameters on the fabrication of suspended Germanium (Ge) channels, with the objective of establishing a stable and high-performance nanoscale device manufacturing solution. The measurement and analysis methods in this study encompass several aspects: first, cross-sectional scanning electron microscopy (SEM) is employed to evaluate the geometrical morphology, sidewall verticality, and structural integrity of the suspended nanowire channels, along with examining their interface quality with the SOI substrate; second, current-voltage (I-V) characteristic measurements are conducted to thoroughly investigate the gate stack quality and the switching characteristics of the device, including threshold voltage (Vth), on/off current ratio (Ion/Ioff), and subthreshold swing (S.S.), which are then used to assess the device's equivalent oxide thickness (EOT) and interface trap density, providing a comprehensive analysis of the substantive contribution of process optimization to electrical performance. Experimental results demonstrate that precise control over radio frequency (RF) bias and HBr gas ratio successfully achieved a vertical channel profile with an etching anisotropy of 0.91. The n-type GAAFET devices fabricated using this optimized process exhibit excellent switching characteristics, with an on/off current ratio exceeding 104 and a subthreshold swing as low as 107 mV/dec. The GAAFET architecture, combined with SOI substrates, not only offers ultimate electrostatic control to effectively suppress short-channel effects but also significantly reduces parasitic capacitance and power consumption. This research provides a crucial foundation and validation for the etching process, supporting future trends in GAAFET device development, including multi-nanosheet stacking, more advanced channel materials, and 3D system integration, highlighting the immense potential of this technology for future high-performance computing and low-power applications.
[1] N. Singh, A. Agarwal, L. K. Bera, T. Y. Liow, R. Yang, S. C. Rustagi, C. H. Tung, R. Kumar, G. Q. Lo, N. Balasubramanian, and D.-L. Kwong, "High-Performance Fully Depleted Silicon Nanowire (Diameter ≤ 5 nm) Gate-All-Around CMOS Devices," IEEE Electron Device Letters, vol. 27, no. 5, pp. 383-386, May 2006.
[2] J. W. Peng, N. Singh, G. Q. Lo, D. L. Kwong, and S. J. Lee, "CMOS Compatible Ge/Si Core/Shell Nanowire Gate-All-Around PMOSFET Integrated with HfO₂/TaN Gate Stack," in IEEE International Electron Devices Meeting (IEDM), 2009, pp. 1-4.
[3] H. Mertens et al., "Vertically stacked gate-all-around Si-nanosheet transistors for advanced CMOS technologies," in Symposium on VLSI Technology, 2016, pp. 1-2.
[4] G. S. Oehrlein, D. B. Graves, and E. A. Hudson, "Silicon etching in halogen-containing plasmas: The role of ion bombardment," Journal of Applied Physics, vol. 129, no. 21, p. 211101, Jun. 2021.
[5] C. H. Lee, T. Nishimura, T. Tabata, S. K. Wang, K. Nagashio, and A. Toriumi, "Ge-based 3D-MOSFETs: Can we enjoy both a high-mobility channel and a Si-like well-behaved gate stack?," in IEEE International Electron Devices Meeting (IEDM), 2010, pp. 18.4.1-18.4.4.
[6] J. Y. Song, W. Y. Choi, J. H. Park, J. D. Lee, and B.-G. Park, "Design Optimization of Gate-All-Around (GAA) MOSFETS," IEEE Transactions on Nanotechnology, vol. 5, no. 3, pp. 186-191, May 2006.
[7] G. L. Luo, S. C. Huang, C. H. Ko, C. H. Wann, C. T. Chung, Z. Y. Han, C. C. Cheng, C. Y. Chang, H. Y. Lin, and C. H. Chien, "A Novel Ge Gate-All-Around Field-Effect Transistor by Using Anisotropic Wet Etching," Journal of The Electrochemical Society, vol. 156, no. 9, p. H703, 2009.
[8] A. Toriumi, C. H. Lee, S. K. Wang, T. Tabata, M. Yoshida, D. D. Zhao, T. Nishimura, K. Kita, and K. Nagashio, "Material potential and scalability challenges of germanium CMOS," in IEEE International Electron Devices Meeting (IEDM), 2011, pp. 28.1.1-28.1.4.
[9] R. Loo et al., "Selective epitaxial growth of Si, SiGe, and Ge for advanced CMOS applications," Journal of The Electrochemical Society, vol. 157, no. 1, p. H13, 2010.
[10] C. M. Hu, C.-Y. Chang, W.-H. Chang, and C. H. Chien, "Plasma etching of Ge and Si1−xGex in HBr plasmas: A comparative study," Journal of Vacuum Science & Technology A, vol. 27, no. 5, pp. 1173-1178, Sep. 2009.
[11] P. Weckx et al., "Vertically stacked horizontal gate-all-around Si nanosheet transistors for the 5 nm technology node and beyond," in IEEE International Electron Devices Meeting (IEDM), 2017, pp. 20.3.1-20.3.4.
[12] G. S. Oehrlein, S. Hamaguchi, and H. M. K. L. Kirmse, "Plasma-surface interactions in halogen-containing plasmas for Si and related materials," Journal of Vacuum Science & Technology A, vol. 40, no. 1, p. 010802, Jan. 2022.
[13] S. D. Gendt et al., "Gate-all-around nanosheet device integration for future technology nodes," in IEEE International Electron Devices Meeting (IEDM), 2019, pp. 36.1.1-36.1.4.
[14] K. J. Kanarik, T. Lill, E. A. Hudson, S. Sriraman, J. Marks, V. Vahedi, and R. A. Gottscho, "Overview of atomic layer etching in the semiconductor industry," Journal of Vacuum Science & Technology A, vol. 33, no. 2, p. 020802, Mar. 2015.
[15] A. V. Larm, N. F. W. Thissen, J. van der Veen, and S. B. S. Heil, "Atomic layer etching of germanium with controlled sub-nanometer removal per cycle," Journal of Vacuum Science & Technology A, vol. 38, no. 3, p. 032601, May 2020.
[16] R. L. Puurunen, "A short history of atomic layer deposition: Tuomo Suntola's atomic layer epitaxy," Chemical Vapor Deposition, vol. 20, no. 10-11-12, pp. 332-344, 2014.
[17] E. A. Fitzgerald, Y.-H. Xie, M. L. Green, D. Brasen, A. R. Kortan, J. Michel, Y.-J. Mii, and B. E. Weir, "Totally relaxed GeₓSi₁₋ₓ layers with low threading dislocation densities grown on Si substrates," Applied Physics Letters, vol. 59, no. 7, pp. 811-813, Aug. 1991.
[18] S. Broyl, H. B. Profijt, R. A. M. Wolters, J. Schmitz, and W. M. M. Kessels, "Anisotropic plasma etching of Ge and Ge/Si using SF₆/O₂/HBr and Cl₂," Journal of Vacuum Science & Technology A, vol. 32, no. 2, p. 021303, Mar. 2014.
[19] D. K. Sahoo, S. K. S. Kumar, K. K. Singh, A. Kumar, A. K. Singh, and S. K. Swain, "Recent advances in gate-all-around (GAA) MOSFETs: A review," IEEE Transactions on Nanotechnology, vol. 21, pp. 367-380, 2022.
[20] T. Irisawa, T. Numata, T. Tezuka, K. Usuda, N. Sugiyama, and S.-i. Takagi, "Device design and electron transport properties of high-mobility Ge-on-insulator (GOI) p-channel MOSFETs," IEEE Transactions on Electron Devices, vol. 53, no. 10, pp. 2481-2487, Oct. 2006.
[21] M. A. M. H. van der Vleuten, A. V. Larm, D. J. van den Broek, H. B. Profijt, and E. P. A. M. Bakkers, "Directional and Selective Etching of Germanium," ACS Applied Materials & Interfaces, vol. 11, no. 1, pp. 1229-1236, Jan. 2019.
[22] C. Y. Kim, H. J. Kim, W. S. Lee, S. K. Kim, S. H. Kim, and S. M. Koo, "Highly selective etching of SiGe/Si for nanosheet gate-all-around FET," Journal of Vacuum Science & Technology A, vol. 36, no. 4, p. 041303, Jul. 2018.
[23] B. Vincent et al., "High-performance p-type Si and Ge nanowire transistors with Schottky-barrier source/drain," IEEE Electron Device Letters, vol. 30, no. 9, pp. 967-969, Sep. 2009.
[24] J. A. L. M. van der Vleuten, D. J. van den Broek, A. V. Larm, and E. P. A. M. Bakkers, "Dry Etching of Germanium for Nanophotonic and Nanoelectronic Applications," Nanomaterials, vol. 9, no. 8, p. 1109, Aug. 2019.
[25] D. Hisamoto, W.-C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo, E. Anderson, T.-J. King, J. Bokor, and C. Hu, "FinFET-a self-aligned double-gate MOSFET scalable to 20 nm," IEEE Transactions on Electron Devices, vol. 47, no. 12, pp. 2320-2325, Dec. 2000.
[26] S. Barraud et al., "7-nm-diameter silicon nanowire-array-based gate-all-around field-effect transistors for high-performance applications," in IEEE International Electron Devices Meeting (IEDM), 2016, pp. 17.5.1-17.5.4.
[27] M. H. Lee, Y. C. Chou, and S. Y. Chen, "Plasma etching of silicon in Cl₂/HBr/O₂ chemistry: Etching mechanism and profile control," Journal of Applied Physics, vol. 104, no. 10, p. 104908, Nov. 2008.
[28] K. Tachi and S. Okudaira, "Chemical sputtering of silicon by F⁺, Cl⁺, and Br⁺ ions," Journal of Vacuum Science & Technology A, vol. 4, no. 3, pp. 459-463, May 1986.
[29] F. D. M. F. d. Moraes, P. Verdonck, D. G. L. da-Silva, and A. C. S. Ramos, "Characterization of the Bosch process for high aspect ratio silicon etching," Journal of the Brazilian Society of Mechanical Sciences and Engineering, vol. 35, no. 3, pp. 273-279, 2013.
[30] C.-H. Lo, C.-Y. Chang, T.-F. Lei, and Y.-L. Wang, "Anisotropic etching of Si in a high-density HBr plasma," Journal of The Electrochemical Society, vol. 153, no. 2, p. G161, 2006.
[31] L. Witters et al., "Stacked nanosheet Gaa-FETs for 5nm and beyond," in IEEE International Electron Devices Meeting (IEDM), 2018, pp. 3.2.1-3.2.4.
[32] Y. M. Li, J. W. Lee, H. H. Lin, J. H. Lee, and Y. H. Wang, "Anisotropic etching characteristics of Si in Cl₂/HBr/O₂ plasma for nanoscale gate patterning," Japanese Journal of Applied Physics, vol. 43, no. 12, p. 8145, 2004.
[33] G. K. Celler and S. Cristoloveanu, "Frontiers of silicon-on-insulator," Journal of Applied Physics, vol. 93, no. 9, pp. 4955-4978, May 2003.
[34] M. Houssa, G. Pourtois, M. M. Heyns, and A. Stesmans, "Germanium-based materials: From fundamentals to devices," in Germanium-Based Technologies, Elsevier, 2007, pp. 1-24.
[35] P. Hashemi, K. Balakrishnan, M. D. S. R. S. V. T. K. R. K. T. K., L. M. G. M., and C. S. G. K. A., "First demonstration of vertically stacked horizontal gate-all-around nanosheet CMOS circuits," in Symposium on VLSI Technology, 2017, pp. T126-T127.
[36] H. C. M. Knoops, S. E. Potts, A. A. Bol, and W. M. M. Kessels, "The use of plasma-assisted atomic layer deposition in nanoscale processing and manufacturing," in Handbook of Nanotechnology, Springer, 2017, pp. 1-28.
[37] W. Vandervorst et al., "Advanced characterization for the path towards 1 nm technology node," Journal of Vacuum Science & Technology B, vol. 38, no. 6, p. 060801, Nov. 2020.
[38] C. Claeys and E. Simoen, Germanium-Based Technologies: From Materials to Devices. Elsevier, 2007.
[39] K. C. Saraswat, C. O. Chui, T. Krishnamohan, D. Kim, A. Nayfeh, and A. Pethe, "High performance germanium MOSFETs," Materials Science and Engineering: B, vol. 135, no. 3, pp. 242-248, Dec. 2006.
[40] J. P. Colinge, "Silicon-on-insulator technology: materials to VLSI," Kluwer Academic Publishers, 2004.
[41] J. Feng, Y. Liu, P. B. Griffin, and J. D. Plummer, "High-performance p-channel Germanium FinFET based on rapid melt growth," Solid-State Electronics, vol. 51, no. 7, pp. 1003-1008, Jul. 2007.
[43] Karbalaei, M., Dideban, D., & Heidari, H. (2021). A sectorial scheme of gate all around field effect transistor with improved electrical characteristics. Ain Shams Engineering Journal, 12(1), 755–760.
[44] Chang, W.-Y., Luo, G.-L., Huang, Y.-S., Chu, C.-L., Lee, Y.-J., Chen, B.-Y., Lin, C.-H., Wu, W.-F., & Yeh, W.-K. (2022). SiGe and Si gate-all-around FET fabricated by selective etching the same epitaxial layers. IEEE Journal of the Electron Devices Society, 10, 553–559.